sts_base           35 drivers/clocksource/timer-qcom.c static void __iomem *sts_base;
sts_base           61 drivers/clocksource/timer-qcom.c 	if (sts_base)
sts_base           62 drivers/clocksource/timer-qcom.c 		while (readl_relaxed(sts_base) & TIMER_STS_GPT0_CLR_PEND)
sts_base          241 drivers/clocksource/timer-qcom.c 	sts_base = base + 0x88;
sts_base         1467 drivers/rapidio/devices/tsi721.c 	priv->mdma.sts_base = sts_ptr;
sts_base         1531 drivers/rapidio/devices/tsi721.c 		mdma->sts_base, mdma->sts_phys);
sts_base         1532 drivers/rapidio/devices/tsi721.c 	mdma->sts_base = NULL;
sts_base         1761 drivers/rapidio/devices/tsi721.c 		sts_ptr = priv->omsg_ring[ch].sts_base;
sts_base         1929 drivers/rapidio/devices/tsi721.c 	priv->omsg_ring[mbox].sts_base = dma_alloc_coherent(&priv->pdev->dev,
sts_base         1933 drivers/rapidio/devices/tsi721.c 	if (priv->omsg_ring[mbox].sts_base == NULL) {
sts_base         2019 drivers/rapidio/devices/tsi721.c 		priv->omsg_ring[mbox].sts_base,
sts_base         2022 drivers/rapidio/devices/tsi721.c 	priv->omsg_ring[mbox].sts_base = NULL;
sts_base         2079 drivers/rapidio/devices/tsi721.c 		priv->omsg_ring[mbox].sts_base,
sts_base         2082 drivers/rapidio/devices/tsi721.c 	priv->omsg_ring[mbox].sts_base = NULL;
sts_base          694 drivers/rapidio/devices/tsi721.h 	void		*sts_base;	/* start of DMA BD status FIFO */
sts_base          718 drivers/rapidio/devices/tsi721.h 	void		*sts_base;	/* start of DMA BD status FIFO */
sts_base          753 drivers/rapidio/devices/tsi721.h 	void		*sts_base;
sts_base          112 drivers/rapidio/devices/tsi721_dma.c 	bdma_chan->sts_base = sts_ptr;
sts_base          189 drivers/rapidio/devices/tsi721_dma.c 			bdma_chan->sts_base = NULL;
sts_base          242 drivers/rapidio/devices/tsi721_dma.c 		bdma_chan->sts_base, bdma_chan->sts_phys);
sts_base          243 drivers/rapidio/devices/tsi721_dma.c 	bdma_chan->sts_base = NULL;
sts_base          393 drivers/rapidio/devices/tsi721_dma.c 	sts_ptr = bdma_chan->sts_base;