saved_priority    142 arch/powerpc/kvm/book3s_xics.c 		       u32 server, u32 priority, u32 saved_priority)
saved_priority    152 arch/powerpc/kvm/book3s_xics.c 	state->saved_priority = saved_priority;
saved_priority    242 arch/powerpc/kvm/book3s_xics.c 	if (write_xive(xics, ics, state, state->server, state->saved_priority,
saved_priority    243 arch/powerpc/kvm/book3s_xics.c 		       state->saved_priority))
saved_priority   1005 arch/powerpc/kvm/book3s_xics.c 				   irq->saved_priority, irq->pq_state,
saved_priority   1058 arch/powerpc/kvm/book3s_xics.c 		ics->irq_state[i].saved_priority = MASKED;
saved_priority   1208 arch/powerpc/kvm/book3s_xics.c 			prio = irqp->saved_priority;
saved_priority   1268 arch/powerpc/kvm/book3s_xics.c 	irqp->saved_priority = prio;
saved_priority     41 arch/powerpc/kvm/book3s_xics.h 	u8  saved_priority;
saved_priority    708 arch/powerpc/kvm/book3s_xive.c 	state->saved_priority = priority;
saved_priority    763 arch/powerpc/kvm/book3s_xive.c 	if (state->saved_priority == MASKED)
saved_priority    770 arch/powerpc/kvm/book3s_xive.c 	xive_finish_unmask(xive, sb, state, state->saved_priority);
saved_priority    796 arch/powerpc/kvm/book3s_xive.c 	state->saved_priority = xive_lock_and_mask(xive, sb, state);
saved_priority   1539 arch/powerpc/kvm/book3s_xive.c 		prio = state->saved_priority;
saved_priority   1602 arch/powerpc/kvm/book3s_xive.c 		sb->irq_state[i].saved_priority = MASKED;
saved_priority   1785 arch/powerpc/kvm/book3s_xive.c 		state->saved_priority = guest_prio;
saved_priority   1789 arch/powerpc/kvm/book3s_xive.c 		state->saved_priority = guest_prio;
saved_priority     43 arch/powerpc/kvm/book3s_xive.h 	u8 saved_priority;		/* Saved priority when masking */
saved_priority    587 drivers/android/binder.c 	long	saved_priority;
saved_priority   2909 drivers/android/binder.c 		binder_set_nice(in_reply_to->saved_priority);
saved_priority   4436 drivers/android/binder.c 			t->saved_priority = task_nice(current);
saved_priority   4441 drivers/android/binder.c 				 t->saved_priority > target_node->min_priority)