quantum           440 drivers/net/ethernet/sfc/ef10.c 		unsigned int quantum;
quantum           446 drivers/net/ethernet/sfc/ef10.c 		quantum = 1536000 / rc; /* 1536 cycles */
quantum           447 drivers/net/ethernet/sfc/ef10.c 		efx->timer_quantum_ns = quantum;
quantum           448 drivers/net/ethernet/sfc/ef10.c 		efx->timer_max_ns = efx->type->timer_period_max * quantum;
quantum            76 include/net/fq.h 	u32 quantum;
quantum            83 include/net/fq_impl.h 		flow->deficit += fq->quantum;
quantum           179 include/net/fq_impl.h 		flow->deficit = fq->quantum;
quantum           312 include/net/fq_impl.h 	fq->quantum = 300;
quantum           214 include/uapi/linux/pkt_sched.h 	unsigned	quantum;	/* Bytes per round allocated to flow */
quantum           395 include/uapi/linux/pkt_sched.h 	__u32	quantum;
quantum           105 net/mac80211/debugfs.c 			fq->quantum);
quantum           138 net/mac80211/debugfs.c 	else if (sscanf(buf, "fq_quantum %u", &local->fq.quantum) == 1)
quantum          1521 net/mac80211/tx.c 		local->fq.quantum = local->hw.wiphy->txq_quantum;
quantum          1523 net/mac80211/tx.c 		local->hw.wiphy->txq_quantum = local->fq.quantum;
quantum          2399 net/sched/sch_cake.c 	u32 quantum = 1024;
quantum          2418 net/sched/sch_cake.c 	q->tins[0].tin_quantum_prio = quantum;
quantum          2419 net/sched/sch_cake.c 	q->tins[1].tin_quantum_prio = quantum >> 4;
quantum          2420 net/sched/sch_cake.c 	q->tins[2].tin_quantum_prio = quantum << 2;
quantum          2421 net/sched/sch_cake.c 	q->tins[3].tin_quantum_prio = quantum << 4;
quantum          2424 net/sched/sch_cake.c 	q->tins[0].tin_quantum_band = quantum;
quantum          2425 net/sched/sch_cake.c 	q->tins[1].tin_quantum_band = quantum >> 4;
quantum          2426 net/sched/sch_cake.c 	q->tins[2].tin_quantum_band = quantum >> 1;
quantum          2427 net/sched/sch_cake.c 	q->tins[3].tin_quantum_band = quantum >> 2;
quantum          2442 net/sched/sch_cake.c 	u32 quantum = 1024;
quantum          2459 net/sched/sch_cake.c 	q->tins[0].tin_quantum_prio = quantum;
quantum          2460 net/sched/sch_cake.c 	q->tins[1].tin_quantum_prio = quantum >> 4;
quantum          2461 net/sched/sch_cake.c 	q->tins[2].tin_quantum_prio = quantum << 4;
quantum          2464 net/sched/sch_cake.c 	q->tins[0].tin_quantum_band = quantum;
quantum          2465 net/sched/sch_cake.c 	q->tins[1].tin_quantum_band = quantum >> 4;
quantum          2466 net/sched/sch_cake.c 	q->tins[2].tin_quantum_band = quantum >> 2;
quantum            91 net/sched/sch_cbq.c 	long			quantum;	/* Allotment per WRR round */
quantum           705 net/sched/sch_cbq.c 				cl->deficit += cl->quantum;
quantum           735 net/sched/sch_cbq.c 				cl->deficit += cl->quantum;
quantum           898 net/sched/sch_cbq.c 				cl->quantum = (cl->weight*cl->allot*q->nclasses[prio])/
quantum           901 net/sched/sch_cbq.c 			if (cl->quantum <= 0 ||
quantum           902 net/sched/sch_cbq.c 			    cl->quantum > 32*qdisc_dev(cl->qdisc)->mtu) {
quantum           904 net/sched/sch_cbq.c 					cl->common.classid, cl->quantum);
quantum           905 net/sched/sch_cbq.c 				cl->quantum = qdisc_dev(cl->qdisc)->mtu/2 + 1;
quantum          1052 net/sched/sch_cbq.c 			cl->deficit = cl->quantum;
quantum          1206 net/sched/sch_cbq.c 	q->link.quantum = q->link.allot;
quantum          1646 net/sched/sch_cbq.c 	cl->quantum = cl->allot;
quantum            28 net/sched/sch_drr.c 	u32				quantum;
quantum            62 net/sched/sch_drr.c 	u32 quantum;
quantum            76 net/sched/sch_drr.c 		quantum = nla_get_u32(tb[TCA_DRR_QUANTUM]);
quantum            77 net/sched/sch_drr.c 		if (quantum == 0) {
quantum            82 net/sched/sch_drr.c 		quantum = psched_mtu(qdisc_dev(sch));
quantum            99 net/sched/sch_drr.c 			cl->quantum = quantum;
quantum           110 net/sched/sch_drr.c 	cl->quantum	   = quantum;
quantum           248 net/sched/sch_drr.c 	if (nla_put_u32(skb, TCA_DRR_QUANTUM, cl->quantum))
quantum           369 net/sched/sch_drr.c 		cl->deficit = cl->quantum;
quantum           410 net/sched/sch_drr.c 		cl->deficit += cl->quantum;
quantum           101 net/sched/sch_fq.c 	u32		quantum;
quantum           440 net/sched/sch_fq.c 			f->credit = max_t(u32, f->credit, q->quantum);
quantum           515 net/sched/sch_fq.c 		f->credit += q->quantum;
quantum           571 net/sched/sch_fq.c 			plen = max(plen, q->quantum);
quantum           789 net/sched/sch_fq.c 		u32 quantum = nla_get_u32(tb[TCA_FQ_QUANTUM]);
quantum           791 net/sched/sch_fq.c 		if (quantum > 0 && quantum <= (1 << 20)) {
quantum           792 net/sched/sch_fq.c 			q->quantum = quantum;
quantum           874 net/sched/sch_fq.c 	q->quantum		= 2 * psched_mtu(qdisc_dev(sch));
quantum           917 net/sched/sch_fq.c 	    nla_put_u32(skb, TCA_FQ_QUANTUM, q->quantum) ||
quantum            57 net/sched/sch_fq_codel.c 	u32		quantum;	/* psched_mtu(qdisc_dev(sch)); */
quantum           213 net/sched/sch_fq_codel.c 		flow->deficit = q->quantum;
quantum           299 net/sched/sch_fq_codel.c 		flow->deficit += q->quantum;
quantum           417 net/sched/sch_fq_codel.c 		q->quantum = max(256U, nla_get_u32(tb[TCA_FQ_CODEL_QUANTUM]));
quantum           461 net/sched/sch_fq_codel.c 	q->quantum = psched_mtu(qdisc_dev(sch));
quantum           531 net/sched/sch_fq_codel.c 			q->quantum) ||
quantum           130 net/sched/sch_hhf.c 	u32		   quantum;        /* psched_mtu(qdisc_dev(sch)); */
quantum           400 net/sched/sch_hhf.c 		bucket->deficit = weight * q->quantum;
quantum           438 net/sched/sch_hhf.c 		bucket->deficit += weight * q->quantum;
quantum           516 net/sched/sch_hhf.c 	u32 new_quantum = q->quantum;
quantum           542 net/sched/sch_hhf.c 	q->quantum = new_quantum;
quantum           584 net/sched/sch_hhf.c 	q->quantum = psched_mtu(qdisc_dev(sch));
quantum           664 net/sched/sch_hhf.c 	    nla_put_u32(skb, TCA_HHF_QUANTUM, q->quantum) ||
quantum           101 net/sched/sch_htb.c 	int			quantum;	/* but stored for parent-to-leaf return */
quantum           875 net/sched/sch_htb.c 			cl->leaf.deficit[level] += cl->quantum;
quantum          1099 net/sched/sch_htb.c 	opt.quantum = cl->quantum;
quantum          1461 net/sched/sch_htb.c 		u64 quantum = cl->rate.rate_bytes_ps;
quantum          1463 net/sched/sch_htb.c 		do_div(quantum, q->rate2quantum);
quantum          1464 net/sched/sch_htb.c 		cl->quantum = min_t(u64, quantum, INT_MAX);
quantum          1466 net/sched/sch_htb.c 		if (!hopt->quantum && cl->quantum < 1000) {
quantum          1468 net/sched/sch_htb.c 			cl->quantum = 1000;
quantum          1470 net/sched/sch_htb.c 		if (!hopt->quantum && cl->quantum > 200000) {
quantum          1472 net/sched/sch_htb.c 			cl->quantum = 200000;
quantum          1474 net/sched/sch_htb.c 		if (hopt->quantum)
quantum          1475 net/sched/sch_htb.c 			cl->quantum = hopt->quantum;
quantum           142 net/sched/sch_sfq.c 	unsigned int	quantum;	/* Allotment per round: MUST BE >= MTU */
quantum           642 net/sched/sch_sfq.c 	if (ctl->quantum) {
quantum           643 net/sched/sch_sfq.c 		unsigned int scaled = SFQ_ALLOT_SIZE(ctl->quantum);
quantum           658 net/sched/sch_sfq.c 	if (ctl->quantum) {
quantum           659 net/sched/sch_sfq.c 		q->quantum = ctl->quantum;
quantum           660 net/sched/sch_sfq.c 		q->scaled_quantum = SFQ_ALLOT_SIZE(q->quantum);
quantum           756 net/sched/sch_sfq.c 	q->quantum = psched_mtu(qdisc_dev(sch));
quantum           757 net/sched/sch_sfq.c 	q->scaled_quantum = SFQ_ALLOT_SIZE(q->quantum);
quantum           796 net/sched/sch_sfq.c 	opt.v0.quantum	= q->quantum;
quantum           213 tools/include/uapi/linux/pkt_sched.h 	unsigned	quantum;	/* Bytes per round allocated to flow */
quantum           394 tools/include/uapi/linux/pkt_sched.h 	__u32	quantum;