kern_linear_pte_xor   56 arch/sparc/mm/init_64.c unsigned long kern_linear_pte_xor[4] __read_mostly;
kern_linear_pte_xor 1709 arch/sparc/mm/init_64.c 		pte_val ^= kern_linear_pte_xor[2];
kern_linear_pte_xor 1715 arch/sparc/mm/init_64.c 	pte_val ^= kern_linear_pte_xor[3];
kern_linear_pte_xor 1749 arch/sparc/mm/init_64.c 		pte_val ^= kern_linear_pte_xor[0];
kern_linear_pte_xor 1757 arch/sparc/mm/init_64.c 		pte_val ^= kern_linear_pte_xor[1];
kern_linear_pte_xor 1761 arch/sparc/mm/init_64.c 		pte_val ^= kern_linear_pte_xor[2];
kern_linear_pte_xor 2237 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[1] = (_PAGE_VALID | _PAGE_SZ256MB_4V) ^
kern_linear_pte_xor 2239 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[1] |= (_PAGE_CP_4V | pagecv_flag |
kern_linear_pte_xor 2242 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[1] = kern_linear_pte_xor[0];
kern_linear_pte_xor 2246 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[2] = (_PAGE_VALID | _PAGE_SZ2GB_4V) ^
kern_linear_pte_xor 2248 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[2] |= (_PAGE_CP_4V | pagecv_flag |
kern_linear_pte_xor 2251 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[2] = kern_linear_pte_xor[1];
kern_linear_pte_xor 2255 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[3] = (_PAGE_VALID | _PAGE_SZ16GB_4V) ^
kern_linear_pte_xor 2257 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[3] |= (_PAGE_CP_4V | pagecv_flag |
kern_linear_pte_xor 2260 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[3] = kern_linear_pte_xor[2];
kern_linear_pte_xor 2696 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] = _PAGE_VALID ^ PAGE_OFFSET;
kern_linear_pte_xor 2698 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] = (_PAGE_VALID | _PAGE_SZ4MB_4U) ^
kern_linear_pte_xor 2701 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] |= (_PAGE_CP_4U | _PAGE_CV_4U |
kern_linear_pte_xor 2705 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[i] = kern_linear_pte_xor[0];
kern_linear_pte_xor 2743 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] = _PAGE_VALID ^ PAGE_OFFSET;
kern_linear_pte_xor 2745 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] = (_PAGE_VALID | _PAGE_SZ4MB_4V) ^
kern_linear_pte_xor 2748 arch/sparc/mm/init_64.c 	kern_linear_pte_xor[0] |= (page_cache4v_flag | _PAGE_P_4V |
kern_linear_pte_xor 2752 arch/sparc/mm/init_64.c 		kern_linear_pte_xor[i] = kern_linear_pte_xor[0];
kern_linear_pte_xor   13 arch/sparc/mm/init_64.h extern unsigned long kern_linear_pte_xor[4];