VXP_DLG_DMAWRITE_SEL_MASK  323 sound/pcmcia/vx/vxp_ops.c 	chip->regDIALOG |= do_write ? VXP_DLG_DMAWRITE_SEL_MASK : VXP_DLG_DMAREAD_SEL_MASK;
VXP_DLG_DMAWRITE_SEL_MASK  336 sound/pcmcia/vx/vxp_ops.c 	chip->regDIALOG &= ~(VXP_DLG_DMAWRITE_SEL_MASK|