TRIGGER            47 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0a40, 4),
TRIGGER            74 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 9),
TRIGGER            86 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 10),
TRIGGER            98 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 12),
TRIGGER           110 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 11),
TRIGGER           169 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 2),
TRIGGER           179 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 3),
TRIGGER           189 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 4),
TRIGGER           200 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 23),
TRIGGER           211 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 24),
TRIGGER           222 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 18),
TRIGGER           233 drivers/clk/bcm/clk-bcm21664.c 	.trig		= TRIGGER(0x0afc, 19),
TRIGGER            25 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0e04, 0),
TRIGGER            47 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0a40, 4),
TRIGGER            57 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0a40, 0),
TRIGGER            65 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0a40, 2),
TRIGGER            88 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0e84, 1),
TRIGGER           111 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 9),
TRIGGER           123 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 10),
TRIGGER           135 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 12),
TRIGGER           147 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 11),
TRIGGER           157 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 7),
TRIGGER           168 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 5),
TRIGGER           180 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 5),
TRIGGER           213 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 2),
TRIGGER           223 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 3),
TRIGGER           233 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 4),
TRIGGER           243 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 5),
TRIGGER           255 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 6),
TRIGGER           267 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 8),
TRIGGER           278 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 23),
TRIGGER           289 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 24),
TRIGGER           300 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0b00, 2),
TRIGGER           309 drivers/clk/bcm/clk-bcm281xx.c 	.trig		= TRIGGER(0x0afc, 15),
TRIGGER           188 drivers/staging/speakup/synth.c 	trigger_time = spk_get_var(TRIGGER);
TRIGGER           348 drivers/staging/speakup/synth.c 	{ TRIGGER, .u.n = {NULL, 20, 10, 2000, 0, 0, NULL } },
TRIGGER            23 drivers/staging/speakup/varhandlers.c 	{ "trigger_time", TRIGGER, VAR_TIME, NULL, NULL },