media_pipeline_start  543 drivers/media/mc/mc-entity.c EXPORT_SYMBOL_GPL(media_pipeline_start);
media_pipeline_start 1003 drivers/media/pci/intel/ipu3/ipu3-cio2.c 	r = media_pipeline_start(&q->vdev.entity, &q->pipe);
media_pipeline_start 1197 drivers/media/platform/exynos4-is/fimc-capture.c 	ret = media_pipeline_start(entity, &vc->ve.pipe->mp);
media_pipeline_start  493 drivers/media/platform/exynos4-is/fimc-isp-video.c 	ret = media_pipeline_start(me, &ve->pipe->mp);
media_pipeline_start  821 drivers/media/platform/exynos4-is/fimc-lite.c 	ret = media_pipeline_start(entity, &fimc->ve.pipe->mp);
media_pipeline_start 1108 drivers/media/platform/omap3isp/ispvideo.c 	ret = media_pipeline_start(&video->video.entity, &pipe->pipe);
media_pipeline_start  439 drivers/media/platform/qcom/camss/camss-video.c 	ret = media_pipeline_start(&vdev->entity, &video->pipe);
media_pipeline_start  851 drivers/media/platform/s3c-camif/camif-capture.c 	ret = media_pipeline_start(sensor, camif->m_pipeline);
media_pipeline_start  739 drivers/media/platform/stm32/stm32-dcmi.c 	ret = media_pipeline_start(&dcmi->vdev->entity, &dcmi->pipeline);
media_pipeline_start  269 drivers/media/platform/sunxi/sun4i-csi/sun4i_dma.c 	ret = media_pipeline_start(&csi->vdev.entity, &csi->vdev.pipe);
media_pipeline_start  144 drivers/media/platform/sunxi/sun6i-csi/sun6i_video.c 	ret = media_pipeline_start(&video->vdev.entity, &video->vdev.pipe);
media_pipeline_start  243 drivers/media/platform/vimc/vimc-capture.c 	ret = media_pipeline_start(entity, &vcap->stream.pipe);
media_pipeline_start  409 drivers/media/platform/xilinx/xilinx-dma.c 	ret = media_pipeline_start(&dma->video.entity, &pipe->pipe);
media_pipeline_start  484 drivers/staging/media/ipu3/ipu3-v4l2.c 	r = media_pipeline_start(&node->vdev.entity, &imgu_pipe->pipeline);
media_pipeline_start  889 drivers/staging/media/omap4iss/iss_video.c 	ret = media_pipeline_start(entity, &pipe->pipe);
media_pipeline_start  944 include/media/media-entity.h __must_check int media_pipeline_start(struct media_entity *entity,